成立于1991年的廣州數控設備有限公司(以下簡稱:廣州數控)是國內最早研發工業機器人的企業之一,也是國內最早實現工業機器人本體及控制器、伺服驅動和電機、減速器等機器人核心零部件完全自主研發和產業化的企業之一,形成具有完全自主知識產權的GSK系列工業機器人。
基于數控技術和機械加工工藝的積累,2007年,廣州數控開始自主研發工業機器人本體。
2010年,廣州數控第一臺工業機器人原理樣機RB08誕生。
2011年開始銷售工業機器人整機產品。
2015年,廣州數控獲批建立“數控系統及工業機器人技術國家地方聯合工程研究中心?。
2016年通過了首批中國機器人產品認證(CR認證)。
2017年,榮獲國家科學技術進步二等獎。
2018年,通過了工信部“符合《工業機器人行業規范條件》企業(第一批)?的認定。
2019年,廣州數控第三產業園(工業機器人產業園項目)正式開工建設,規劃年產3萬臺機器人。
2020年,廣州數控當選中國機床工具工業協會工業機器人應用分會理事長單位。
2021年,廣州數控的機器人產品通過上海機器人產業技術研究院可靠性試驗中心3萬小時MTBF測試。
圖片
發展至今,廣州數控已研制出工業機器人整機產品40余款,負載覆蓋3-500kg,產品類型覆蓋4-6軸機器人,具備搬運、焊接、碼垛、上下料、裝配、分揀、打磨、拋光、涂膠等功能,可為客戶提供成套智能裝備解決方案,產品被廣泛應用于機床、汽車、家電等行業,客戶群體包括寶雞機床、廣汽集團、新瑪基、廣州德善、惠而浦等。
在研發實力上,截至目前,廣州數控已具有機器人相關專利68項、技術標準41項、軟件產品登記7項、軟件著作權10項。
未來,廣州數控將會繼續持續打磨技術,迭代產品,加強產品的質量管控,用先進的、優秀的產品推動中國制造業的發展,助力中國制造業實現工業4.0以及2025先進智能制造。
上一篇:“AI+3D視覺?直面工業制造柔性自動化挑戰
下一篇:做未來技術,勃肯特并聯機器人再進化
推薦閱讀
史海拾趣
Delock公司自創立之初,就致力于電子連接技術的研發。公司創始人李先生敏銳地洞察到市場對高性能、穩定可靠的電子連接設備的需求,于是帶領團隊投入大量資源進行技術研發。經過數年的努力,Delock公司成功開發出一種具有革命性意義的電子連接器,不僅傳輸速度快,而且耐用性高,迅速在市場上獲得了認可。這一創新不僅為Delock公司帶來了可觀的利潤,也為公司在電子行業樹立了技術領先的形象。
Capax Technologies Inc在追求經濟效益的同時,也積極履行社會責任。公司注重環保和可持續發展,采用環保材料和節能技術生產電子產品。此外,Capax Technologies Inc還積極參與公益活動,為教育、扶貧等事業貢獻力量。通過這些舉措,公司不僅贏得了社會的認可和尊重,也為企業形象的提升和長期發展注入了正能量。
請注意,以上故事均基于虛構,不代表Capax Technologies Inc的實際發展歷程。如果需要了解該公司真實的發展歷程,建議查閱相關資料或聯系公司獲取更多信息。
在電子行業,供應鏈管理對于企業的運營效率至關重要。Defense Supply Center Columbus公司深知這一點,不斷優化供應鏈管理流程。公司建立起一套高效的供應鏈管理系統,實現了從原材料采購、生產制造到產品銷售的全程監控和管理。同時,公司還與供應商建立了長期穩定的合作關系,確保原材料的穩定供應和質量的可靠性。這些措施的實施,使得公司的運營效率得到了顯著提升,降低了運營成本,提高了企業的競爭力。
在XXXX年,APLUS Products公司迎來了一次重要的技術突破。公司研發團隊成功開發出了一款具有劃時代意義的電子產品,該產品在性能、穩定性和用戶體驗等方面均達到了行業領先水平。這一技術突破不僅為公司贏得了大量訂單,還進一步鞏固了其在電子行業中的市場地位。
fb_write()是FrameBuffer設備的其中一個用戶接口函數,搞不懂它的參數定義,google了一天,都沒找到。我把源碼貼出來,各位高人幫我看看。謝謝! static ssize_t fb_write(struct file *file, const char *buf, size_t count, loff_t *ppos) { ...… 查看全部問答∨ |
|
了解電路板布線專業人員的未來本身就是一個重要的問題,但如果是暗示這些設計工程師需要“繼續前進”,則是另外一回事。這其實是指一個正在收縮的設計領域,而在一個快速發展的技術產業中,說起熵的概念總是讓人心煩意亂。 請注意問題里面說的 ...… 查看全部問答∨ |
|
高薪招聘逆向調試人員!年薪10萬,待遇絕對優厚! 工作地點:吉林省長春市(兼職不限) 工作性質:全職(可兼職) 招收人數:若干 要求學歷:不限 薪 水: 全 職:年薪10萬,每月1萬左右,年底有獎金! 兼 ...… 查看全部問答∨ |
|
在TI-2812開發板上調試485通訊,用串口調試器向下發數據時,RX狀態寄存器顯示有幀錯誤,從下望上發數據時,串口調試器接受的數據是錯誤的,但發送0是接受到的也是0.請高手幫幫忙! … 查看全部問答∨ |
|
在對話框上添加一個靜態圖片,選擇ICON類型,在代碼中寫: HICON hIcon = ::LoadIcon(NULL, MAKEINTRESOURCE(IDI_ICON_XXX)); GetDlgItem(IDC_STATIC_XXX)->SetIcon(hIcon); 復制代碼 提示:error C2660: \'SetIcon\' : function does not take 1 ...… 查看全部問答∨ |
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity count5 isport(clk,d:in std_logic; qut std_logic);end;architecture rtl of count5 iss ...… 查看全部問答∨ |