官方消息,RT-Thread Smart已正式上線,目前源代碼可在Github、Gitee下載,采用Apache License 2.0。
RT-Thread Smart(以下簡稱為rt-smart) 是基于RT-Thread操作系統衍生的新分支——混合微內核操作系統,主要面向帶 MMU(內存管理單元Memory Management Unit)的中高端處理器,為眾多領域提供更具競爭力的操作系統基礎軟件平臺,具備快速啟動、兼容POSIX 接口、開發調試便利、應用與內核分離、高安全性等特性。
rt-smart
RT-Thread Smart架構
rt-smart在硬件平臺的基礎上通過MMU、系統調用的方式把整個系統分成了內核態及用戶態,并具備獨立的地址空間(32位系統上是4G的獨立地址空間)。
rt-smart內核即可包含基本功能,同時也可定制裁剪。rt-smart用戶態應用環境采用musl libc提供POSIX接口調用及C運行環境,延續 RT-Thread 原有的生態,使用scons構建或其他的構建工具,例如xmake,cmake等,并對接 RT-Thread 在線軟件包;同時支持 POSIX,方便 Linux 應用的移植。
目前,rt-smart已支持QEMU、樹莓派4B運行,開發者即可通過rt-smart開源倉庫獲得相關代碼和文檔,參考學習、了解RT-Smart的架構和代碼。同時,rt-smart還在持續演進中,歡迎開發者參與其中,共同打造創新平臺,構建支持多處理器、開放的操作系統,推動行業生態發展。
源碼下載鏈接:
https://github.com/RT-Thread/rt-thread/tree/rt-smart
https://gitee.com/rtthread/rt-thread/tree/rt-smart
上一篇:SensiML為SensorTile.box提供端到端的人工智能工具包
下一篇:最后一頁
推薦閱讀
史海拾趣
隨著國內市場的飽和,AEP公司開始尋求海外市場的拓展。公司通過與國外知名企業建立合作關系,共同研發新產品,成功打開了國際市場的大門。同時,AEP公司還積極參加國際電子展會和技術交流會,與全球同行交流學習,不斷提升自身技術水平。在國際合作的過程中,AEP公司的品牌影響力逐漸增強,成為了電子行業中備受矚目的企業之一。
在電子行業快速發展的背景下,Carlisle Interconnect Components公司始終將技術研發和持續創新作為公司發展的核心動力。公司投入大量資源用于研發新型連接器技術,不斷推出具有更高性能、更小型化、更智能化的產品。這些創新產品的問世,不僅為公司帶來了更多的市場機遇,更推動了整個電子連接器行業的進步。
Elektron公司的故事始于1942年,由威利·科伯以Elektron物理技術設備工廠Kerber的名稱成立。當時,該公司主要生產基于汞蒸氣整流器的固定電池電源和船用電池充電器。這些產品在市場上取得了良好的反響,為公司的發展奠定了堅實的基礎。在隨后的幾年里,Elektron不斷擴大產品范圍,開始涉足汽車行業的電池充電器以及焊接和切割工藝的電源等領域。
一、支架: 1)、支架的作用:用來導電和支撐 2)、支架的組成:支架由支架素材經過電鍍而形成,由里到外是素材、銅、鎳、銅、銀這五層所組成。 3)、支架的種類:帶杯支架做聚光型,平頭支架做大角度散光型的Lamp。 A、2002 ...… 查看全部問答∨ |
本帖最后由 jameswangsynnex 于 2015-3-3 19:59 編輯 轉自---高工在線 1月19日消息,有“山寨機之父”之稱的聯發科與工信部旗下泰爾實驗室最近簽訂戰略聯盟合約,攜手推廣自主研發3G標準TD-SCDMA。這是聯發科首度參與制定大陸3C技術與標準,此舉有 ...… 查看全部問答∨ |
//------------------------------------------------------------------------------------------------- // 作者:[email=wogoyixikexie@gliet]wogoyixikexie@gliet[/email] //論壇賬號:gooogleman (經常在CSDN出沒) // 版權:桂林電子科 ...… 查看全部問答∨ |
|
理 喻 在說明死亡能量循環之前,我們先要對地表的物質進行一個基本的分類。只有建立在這個基本的物質分類概念之上,才有可能對死亡能量循環的方位和層態,進行一步步的探找和一層層的剝筍。 地球表層的物質能量濟濟一堂,根據地球物質能量質性 ...… 查看全部問答∨ |
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin is port(clk:in std_logic;--輸入時鐘 cmd:in std_logic_vector(7 downto 0);--分頻指令cmd clkout:out std_logic);--輸出時鐘 end fenpin; ...… 查看全部問答∨ |
要使這個條件宏定義_POCKET_PC生效, 在EVC工程中如何設置? EVC 中,定義了以下一個條件宏定義,意思是: 當定義了_POCKET_PC時,執行某些代碼,沒定義時執行另些代碼. #ifdef _POCKET_PC ....... #endif ......... 要使這個條件宏定義_POCKET_PC生效, 在EVC工程中如何設置?… 查看全部問答∨ |
build platfor的時候出現的問題 makeimg: FATAL ERROR: Cannot open D:\\WINCE420\\PUBLIC\\SMDK2410vga\\RelDir\\SAMSUN~1\\postproc\\platform.bib makeimg: FATAL ERROR: Cannot open D:\\WINCE420\\PUBLIC\\SMDK2410vga\\RelDir\\SAMSUN~1\\p ...… 查看全部問答∨ |
單片機的C語言的卻是個好東西,自學有點難,偶爾在網上看到有個視頻講座.很好.可惜.當時沒有把網給記下來.現在找,可就是找不到了.那位仁兄如果知道有這方面的視頻講座,請告訴我約.在下謝謝你了!… 查看全部問答∨ |