娇小w搡bbbb搡bbb,《第一次の人妻》,中国成熟妇女毛茸茸,边啃奶头边躁狠狠躁视频免费观看

歷史上的今天

今天是:2025年03月31日(星期一)

正在發生

2020年03月31日 | 建立linux下avr單片機開發環境

發布者:星光小狐貍 來源: eefocus關鍵字:linux  avr單片機  開發環境 手機看文章 掃描二維碼
隨時隨地手機看文章

有一塊ATmega128開發板,自從用了ubuntu后就開始吃灰了。其實linux進行avr單片機開發還是很方便的,就跟嵌入式一樣,只是將編譯器由arm-linux-gcc改為avr-gcc.


主要參考:apt-blog.net/developing_avr_under_linux


環境:ubuntu13.04


一、安裝avrdude


sudo apt-get install avrdude


這樣USBasp就被linux所支持了。


二、安裝編譯器:


sudo apt-get install gcc-avr binutils-avr avr-libc


這樣就可以了,開始linux下avr之旅吧


編譯程序命令:


avr-gcc -mmcu=atmega128 -Wall -Os -o led.o led.c


-mmcu即avr型號。


轉化為hex


avr-objcopy -j .text -j .data -O ihex led.o led.hex


.data主要用于存放數據;


三、燒寫程序:


sudo avrdude -p m128 -c usbasp -e -U flash:w:led.hex


m128即我的atmega128


linux下程序開發比較好的思想是寫Makefile


放個例子:


引自:omegav.no/wiki/index.php/AVR_on_linux#Makefile_example          


###################################

# Makefile, for AVR Programming

 

PROJECT = 

# Target name:

TARGET = 

 

# Target type

MCU = atmega128

PROGRAMMER = usbasp

F_CPU = 16000000UL

 

SRC = 

OBJ = $(SRC:.c=.o)

 

# Compiler / Linker flags:

CFLAGS = -mmcu=$(MCU) -Wall -Os -std=gnu99 -D F_CPU=$(F_CPU) -funsigned-char -funsigned-bitfields -fpack-struct -fshort-enums

LDFLAGS = -mmcu=$(MCU) -Wl,-Map=$(TARGET).map

###################################

# Makerules:

 

.PHONY: compile flash clean

 

compile:$(TARGET).hex $(TARGET).eep $(TARGET).lss

 

flash: compile

avrdude -c $(PROGRAMMER) -P usb -p $(MCU) -U flash:w:$(TARGET).hex

# sleep 2

# avrdude -c $(PROGRAMMER) -P usb -p $(MCU) -U eeprom:w:$(TARGET).eep

 

clean:

rm -f $(OBJ) $(TARGET).*

 

###################################

# Psudorules:

 

%.eep: %.hex

avr-objcopy -j .eeprom --set-section-flags=.eeprom="alloc,load" --change-section-lma .eeprom=0 --no-change-warnings -O ihex $< $@

 

%.hex: %.elf

avr-objcopy -O ihex -R .eeprom -R .fuse -R .lock -R .signature $< $@

 

%.lss: %.elf

avr-objdump -h -S $< > $@

 

%.elf: $(OBJ)

avr-gcc $^ $(LDFLAGS) -o $@

 

%.o : %.c

avr-gcc $(CFLAGS) -c $< -o $@

關鍵字:linux  avr單片機  開發環境 引用地址:建立linux下avr單片機開發環境

上一篇:AVR mkii 被atmel studio 升級后無法用在AVRDUDESS的解決方法
下一篇:linux 下 avr開發環境的搭建

推薦閱讀

早前,三星S9與S9+部分用戶因為屏幕出現了隨機無法點擊的區域(死區,dead zone),并且沒有規律可循,影響用戶體驗,導致他們在國外Reddit,XDA、三星旗下論壇發帖吐槽起來,使得三星不得不出來聲明表示要調查一番。本來S9系列的屏幕問題已經讓三星頭大了,但是看熱鬧不嫌事大的華為,也要黑一把三星,在近日P20系列的發布會上,為了顯示自家產品拍照能力...
近日,美國機器人工業聯合會發布一份統計報告,報告顯示,2018年北美地區各類公司的機器人采購量創新高。 先來看高工產研(GGII)提供的一張圖表: 從圖中變化可以看出,汽車行業依舊是當之無愧的老大哥,但是毫無增長,疲態明顯,而3C行業4年來變化很大,已經從17.91%增長到25.47%。管中窺豹,其實不單單是中國市場,北美市場也在呈現此種狀態。 近...
前言:百度大腦是百度 AI 核心技術引擎,包括視覺、語音、自然語言處理、知識圖譜、深度學習等AI核心技術和AI開放平臺。 基于 Xilinx Zynq UltraScale+ MPSoC 的 EdgeBoard核心加速方案是百度AI加速平臺的關鍵組成部分。其Zynq芯片內部集成ARM處理器+GPU+FPGA(及Video Decode)的架構,既具有多核處理能力、也有視頻流硬解碼處理能力,還具有FPGA的...
在本文中,我們探討了表征器件在微波矢量網絡分析儀 (VNA) 的校準和測量中發揮的作用。本文簡略地介紹了單端口表征器件校準的理論知識。與更為脆弱的滑動負載相比,單端口表征器件— 例如同軸開路/短路/負載 — 擁有便于操作和堅固耐用的優勢。本文還提到了散射參數誤差框以及廣泛用于單端口 VNA 校準的誤差術語(例如方向性、信號源匹配和跟蹤)?;?..

史海拾趣

問答坊 | AI 解惑

汽車電子產品開發的難點在哪?

經過數十年的迅速發展,汽車電子產品日益完善。為了滿足日趨嚴格的排放標準、逐漸提高的安全性能要求、以及用戶對舒適性的要求, 汽車電子產品的功能變得越來越多,控制單元模件也越來越復雜。如今, 汽車電子控制系統已朝著集成化綜合系統的方向發展。 ...…

查看全部問答∨

程序突然退出

好久、程序突然退出,什么提示也沒,而且很難定位, 因為他不是每次都這樣,有時候運行一兩個小時就會, 但是有時候半天也不會出現。 請問這種情況一般是什么原因造成的? 系統是CE4.0…

查看全部問答∨

單片機—創業在望

66519373,本群旨在方便大家交流心得,尤其是幫助大學生如何盡快的找到自己的方向,明確自己任務,尤其是能更好的構建一個交流的平臺,讓有創業想法的有志之士能走到一起,為我們祖國的電子事業更快、更強盡自己的一份力量!強烈注明:本人乃一名研 ...…

查看全部問答∨

簡單的VHDL小語法問題

我定義了 SRAM_DATA1: inout std_logic_vector(15 downto 0); r         : std_logic_vector(7 downto 0) 將SRAM_DATA1的前八位賦值給r,請問VHDL格式怎么寫?需要代碼.    …

查看全部問答∨

LPC1114試用方案初版

LPC1114+CPLD方案初版,繼續改進中.請教意見 [ 本帖最后由 wcz1223 于 2010-8-31 08:16 編輯 ]…

查看全部問答∨

關于pll輸出能否通過設置全局時鐘約束接到普通io口上?

小弟最近在做課程設計,做sdram讀取的時候用到pll進行倍頻產生sdram時鐘(100M),但是發現手頭上的板子的sdram接口是普通io口,而專用的pll輸出口卻被sdram地址端占用了,用QII編譯的時候報錯說必須接到pll專用輸出口,不知道有什么辦法可以輸出到 ...…

查看全部問答∨

關于有說EZ430-Chronos手表按鍵后有時會重啟的現象(實際可能非器件或軟件問題)

關于以前有帖子中說EZ430-Chronos按鍵后有時會重啟的現象 我以前也遇到這種情況,恰恰看到帖子中也說有類似現象,所以我以為可能是器件或者軟件有問題 后來特別最近重啟頻繁,沒有按下按鍵的時候也會重啟,重啟的時候還會長時間蜂鳴器響一陣。我 ...…

查看全部問答∨

曬設計方案+四點鐘方向發現目標

早就想發本輯內容了,一直忙忙人生路……昨天一早聽說飛機失聯了,默默幫機上乘客及乘客們的親屬們祈禱。 之后發現以前同事在飛機上,頓時感覺整個人都不好了,第一次這樣的事情離自己這樣近,心里比自己乘飛機遇到顛簸時還忐忑。 先為同事求個祝 ...…

查看全部問答∨

有誰會做頻率計的放大電路

哪位朋友做過頻率計的放大電路,怎么應用ths3201…

查看全部問答∨

cd4046頻率與9腳電壓的線性化問題

如上圖,用3.3v給cd4046供電,通過變阻器調節9腳電壓。先進行V/F轉換,4腳輸出3khz頻率時,9腳電壓是2.08v,然后輸出頻率接F/V電路的14腳,怎么測得9腳電壓就不是2.08v了。而且4腳電壓一直是vdd/2.。。求大神解答 …

查看全部問答∨
小廣播
設計資源 培訓 開發板 精華推薦

最新單片機文章
何立民專欄 單片機及嵌入式寶典

北京航空航天大學教授,20余年來致力于單片機與嵌入式系統推廣工作。

 
EEWorld訂閱號

 
EEWorld服務號

 
汽車開發圈

 
機器人開發圈

電子工程世界版權所有 京ICP證060456號 京ICP備10001474號-1 電信業務審批[2006]字第258號函 京公網安備 11010802033920號 Copyright ? 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
主站蜘蛛池模板: 武威市| 章丘市| 闽侯县| 平凉市| 廊坊市| 平果县| 保康县| 门头沟区| 成都市| 鄂伦春自治旗| 牙克石市| 佛山市| 桃园市| 浦江县| 荥经县| 安泽县| 南康市| 乡城县| 陇南市| 垣曲县| 西乌珠穆沁旗| 清水县| 西和县| 台东县| 龙井市| 金乡县| 湘潭县| 普定县| 墨竹工卡县| 青铜峡市| 扎兰屯市| 通州区| 陕西省| 凤山县| 安义县| 宁都县| 白城市| 桃园市| 华亭县| 凭祥市| 界首市|