2021年4月20日,上海訊——2021年度“復微杯”第三屆全國大學生電子設計大賽(以下簡稱“復微杯”)于近日面向全國高校,正式開啟報名通道。據悉,本屆復微杯將開設四大賽道,并于九月舉行決賽總測評。來自全國各地的參賽學生將進行為期四個月的初賽,角逐各賽道一二三等獎,以及本年度最高榮譽“復微之星”全國總冠軍。
“復微杯”是由上海復旦微電子集團股份有限公司主辦,復旦大學微電子學院承辦,面向全國大學生的電子設計大賽,其目的在于培育我國電子專業高校學生針對實際問題進行電子設計、制作的綜合能力;培養大學生的創新能力、協作精神,進而為我國集成電路產業培養大批優秀的后備人才,助力我國集成電路產業發展。2021年是復微杯舉辦的第三個年頭,經過前兩屆的積累與發展,已吸引了來自全國各地超過130所高校、3000余名學生的踴躍參與。
復旦微電子集團中央研究院院長李清表示:“在競賽組委會的認真籌備下,復微杯電子設計大賽獲得了廣泛的關注。基于理論與實際結合,創新與實踐互補的大賽原則,本屆大賽將分為數字、模擬、硬件和軟件四大賽道,各組賽題也將與時俱進,圍繞人工智能、互聯網+、物聯網等行業技術熱點,加強對大學生動手能力的培養和工程實踐的訓練,全面培育考察學生的實踐能力、創新能力和團隊合作能力。”
李清介紹道,本次大賽的賽題命題將持續往屆多樣化的特點,結合學校授課重點和行業趨勢熱點,達到以賽促教、賽教融合的目的。同時,本屆復微杯還邀請到了高校知名教授全程參與評審環節,并充分考慮到不同年級參賽學生的知識技能儲備,合理制定賽題和評審要求,從而確保大賽評比的權威性和公平公正。
復旦微電子集團中央研究院院長李清介紹本屆復微杯大賽特點
據悉,對比往屆大賽,集團還針對不同賽道增設了對應獎項,大賽總獎金池高達二十余萬元,用于激勵前來參賽的學生隊伍。除獎金以外,復旦微還為參賽學生準備了豐富的福利待遇:晉級決賽的學生將獲取集團面試直通卡,學生可憑此卡跳過筆試直接進入面試環節;各賽道的優秀參賽選手還將獲得定制化實習機會,配備一對一的資深導師,幫助其獲取更多實戰經驗,為今后的工作打下堅實的基礎;此外,復旦微還將邀請參賽選手參加各類行業、企業內部技術論壇,了解掌握行業最前沿技術。
復旦微電子集團自1998年創立以來,始終與全國高校緊密合作,每年從高校引進大量電子工程專業人才,并始終致力于“發展中國微電子工業為己任”,在培育下一代集成電路產業人才方面持續投入,是國家認定的博士后工作站企業。而復旦微電子倡導的產學研引領技術創新形成產業規模效應的理念,也被國家教育部評為高校企業產學研結合優秀案例,并被授予高校企業產學研結合優秀獎。
上一篇:IP新銳芯耀輝多點破局DDR PHY技術瓶頸
下一篇:新一代DDR5 DIMM的五大亮點,下一代服務器內存展望
推薦閱讀
史海拾趣
Cobham Semiconductor Solutions自成立之初,便以技術創新為核心驅動力。公司不斷投入研發,推動半導體技術的突破。在某一關鍵時期,Cobham團隊成功研發出一款具有革命性的低功耗芯片,不僅大幅提升了設備的性能,還降低了能耗,迅速在市場上獲得了廣泛應用。這一創新不僅為公司帶來了可觀的利潤,還奠定了其在半導體行業的領先地位。
芯力微一直將產品質量視為公司的生命線。在產品研發和生產過程中,公司嚴格遵守國際標準,確保每一顆芯片都達到最高的品質要求。這種對品質的執著追求不僅贏得了客戶的信賴,也為公司樹立了良好的品牌形象。隨著時間的推移,芯力微逐漸成為電子行業中備受尊敬的品牌之一。
進入新世紀后,Extech公司迎來了新的發展機遇。2000年,公司成為國家第一批863/CIMS系統集成和咨詢服務企業,同時也是國家863/CIMS示范應用工程的主流供應商。這一榮譽的獲得不僅體現了公司在技術實力和服務能力方面的優勢,也為公司未來的發展提供了更廣闊的空間。
隨著技術的不斷進步,DURAKOOL公司不斷投入研發,推出了一系列創新產品,如Durakool接觸器和電流互感器。這些產品憑借其高可靠性和穩定性,在電力監控和智能計量等領域得到了廣泛應用。公司也逐漸擴大了市場覆蓋范圍,不僅在美國本土取得了成功,還逐步進入國際市場。
在光通信領域,EUDYNA憑借其10Gb/s速率的光調制集成DFB激光器模塊,取得了重要突破。這款模塊采用了先進的封裝技術和內置光學隔離器,確保了信號的穩定性和可靠性。EUDYNA的這一創新,不僅提高了光通信的傳輸速度和效率,也為整個行業樹立了新的標桿。
面對數字化轉型的浪潮,Etco Inc公司主動擁抱變革,積極推進數字化轉型。公司利用大數據、云計算等先進技術優化生產流程和管理模式,提高了生產效率和管理水平。同時,Etco還加強了與客戶的數字化連接和交流,提供了更加便捷和高效的服務體驗。這些舉措使Etco在數字化時代中保持了領先地位并實現了持續增長。
摘要:采用數字化技術、在測控系統中用IP核實現D/A轉換,并且在1片可編程邏輯器件中實現。它不受溫度的影響,既可保持高分辨率,又可降低對電路精度和穩定度的要求,并減少元件的數量。 在各類電子系統中,數字電路所占比重越來越大。這主要是因為 ...… 查看全部問答∨ |
wince5.0下,寫了個硬盤驅動,可以加載16G硬盤。但是每次開機都要等10分鐘載入文件系統,串口輸出為不停的讀某些扇區,一直等讀完以后才能顯示盤符,才可以讀寫硬盤不知為何?求教!… 查看全部問答∨ |
這是一個面向c8051f的技術群,有熱心的朋友和積極向上的氛圍,如果您加入必然給您的c8051f的學習帶來極大的幫助! 群一:3318950 群二:74346610 可以同時加入!… 查看全部問答∨ |
變頻器(ABB ACS800)帶轉子短接繞線電機,變頻總報過載或超速(下降時)故障!是否是電機的故障呢? 謝謝各位的回答!我這套起升機構變頻使用了外置制動單元與制動電阻,實際檢查線路發現轉子有斷線現象,處理后依然存在上述問題。檢查變 ...… 查看全部問答∨ |
在一些應用中MCU接收串行數據時,會出現接收到多個不同長度的數據流,由于STM32沒有串行接收超時功能,這使得STM32的用戶覺得不是很方便。為此我使用STM32的定時器實現接收無固定長度的串行數據,希望對STM32的用戶有所幫助。 一、方案說明 ...… 查看全部問答∨ |
|
我現在要設計一個vc33的應用系統,存儲器分配如下400000--480000 為 EEPROM 程序存儲器存儲區 100000--180000 為 SRAM 數據,程序存儲器 在設計時該如何管理/page0,/page1,/page2,/page3這些信號線? … 查看全部問答∨ |
出售剩余的高速DA芯片AD9772A和時鐘合成芯片CDCM7005 本帖最后由 ddllxxrr 于 2016-1-7 17:09 編輯 這兩種芯片都只有2片了,便宜賣給喜歡自己做東西的兄弟 這些都是做項目剩余下來得,經過我實際做板測試,確實都是原裝的好芯片,AD9772A用帶海綿的盒子包的好好的,當然已經開封過了,不然我自己也沒 ...… 查看全部問答∨ |
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity xiaoba10 isport( clk:in std_logic;-- co :out std_logic;--蜂鳴器 &nbs ...… 查看全部問答∨ |
【項目外包】FPGA中實現TCP/IP IPV4或者IPV6的協議棧 FPGA中實現TCP/IP IPV4或者IPV6的協議棧 項目預算:¥ 3,000~20,000 開發周期: 45天 項目分類: 嵌入式 競標要求: 項目標簽: Xil ...… 查看全部問答∨ |
- ?YINCAE 將在 SEMICON Southeast Asia 2025 展出先進半導體材料解決方案
- 黃仁勛現身北京:宣布NVIDIA來中國30年重要決定
- 意法半導體披露公司全球計劃細節,重塑制造布局和調整全球成本基數
- HBM4 內存正式標準化,JEDEC 發布 JESD270-4 規范
- 英偉達市值一夜蒸發1.3萬億元:美國要嚴管芯片對華出口
- ?日清紡微電子科技賦能產業升級,亮相慕尼黑上海電子展
- 破局AI眼鏡性能、續航、成本“不可能三角”:芯原推出高集成度參考設計方案
- 芯原查凱南:“云邊端”跨端協同,構建GenAI體驗
- 芯原劉律宏:芯原“緊耦合系統架構”解決方案,為AR/AI眼鏡突破能效邊界