設(shè)計(jì)背景:
DDS(Direct Digital Synthesizer)直接數(shù)字式頻率合成器,是一種新型頻率合成技術(shù),具有低成本、低功耗、高分辨率、相對帶寬大和頻率轉(zhuǎn)換時(shí)間短等優(yōu)點(diǎn)。較容易實(shí)現(xiàn)頻率、相位以及幅度的數(shù)控調(diào)制,廣泛應(yīng)用在電信與電子儀器和通信領(lǐng)域。波形發(fā)生器是一種數(shù)據(jù)信號發(fā)生器,在調(diào)試硬件時(shí),常常需要加入一些信號,以觀察電路工作是否正常。加入的信號有:正弦波、三角波、方波和任意波形等。
設(shè)計(jì)原理:
相位(phase)是對于一個波,特定的時(shí)刻在它循環(huán)周期中的位置:一種它是否在波峰、波谷或它們之間的某點(diǎn)的標(biāo)度。相位描述信號波形變化的度量,通常以度 (角度)作為單位,也稱作相角。 當(dāng)信號波形以周期的方式變化,波形循環(huán)一周即為360°。那么相位可調(diào)也可以簡單的理解為:改變初始相位。
頻率,是單位時(shí)間內(nèi)完成周期性變化的次數(shù),是描述周期運(yùn)動頻繁程度的量,常用符號f或ν表示,單位為秒分之一,符號為s-1。頻率可調(diào)也就是改變單位時(shí)間內(nèi)完成周期性變化的次數(shù)。
本設(shè)計(jì)采用DDS技術(shù)設(shè)計(jì)相位頻率可調(diào)的波形發(fā)生器,已經(jīng)知道了相位和頻率可調(diào)分別代表什么,那么接下來就要知道怎樣依靠DDS技術(shù)實(shí)現(xiàn)波形發(fā)生器,并且相位和頻率可以調(diào)控。DDS的基本結(jié)構(gòu)如下圖所示:
根據(jù)上圖可以看出:DDS主要由相位累加器、波形數(shù)據(jù)表(ROM)、D/A轉(zhuǎn)換器構(gòu)成,本設(shè)計(jì)暫時(shí)不涉及D/A轉(zhuǎn)換部分。相位累加器位寬為N,波形數(shù)據(jù)表的大小為2^P,累加器的高P位則用于尋址波形數(shù)據(jù)表,即ROM,從ROM中輸出的數(shù)據(jù)則是產(chǎn)生的波形。如果累加器在系統(tǒng)時(shí)鐘(CLOCK)的作用下,以步進(jìn)為M累加直至溢出,則M為頻率控制字(即圖中的FWORD),相位控制字(PWORD)則作為累加器的輸入初始值。這里的累加器,也可以理解為ROM的地址發(fā)生器。
上段所述我們可具體理解為:改變地址的初值(PWORD)就可以改變初始的相位,由于我們設(shè)計(jì)中,ROM的數(shù)據(jù)為256,所以PWORD 的值在0~255之間,PWORD= 256*(初始相位/360度)。
我們設(shè)計(jì)的系統(tǒng)時(shí)鐘(CLOCK)為50MHz,周期為20ns,而正弦波被分成了256個點(diǎn),波形發(fā)生器的頻率就是195.31KHz。若想要輸出別的頻率,則可通過改變輸出的點(diǎn)的個數(shù),即改變有效地址的數(shù)量。我們用位寬為N位的累加器,假設(shè)FWORD為1,要產(chǎn)生一個完整波形的周期則為20ns*2^N,則產(chǎn)生波形的頻率=系統(tǒng)時(shí)鐘/2^N,即Fout = Fclk/2^N,如果FWORD為B,每次步進(jìn)的間隔提高了B倍,所以計(jì)滿一個波形周期的時(shí)間就縮小了B倍,即頻率就提高了B倍。則波形頻率的公式為:Fout = B*(Fclk/2^N)。之后我們?nèi)±奂悠鞯母?位,去尋址波形數(shù)據(jù),對應(yīng)點(diǎn)的還是個數(shù)一樣的。本設(shè)計(jì)中我們將N取為32,當(dāng)B=1,F(xiàn)out約為0.012Hz,0.012就相當(dāng)于最小精度,所以我們就實(shí)現(xiàn)了頻率為0.012倍數(shù)的調(diào)制,但因?yàn)?.012值很小了,所以可以說基本實(shí)現(xiàn)了所有頻率的調(diào)制。
設(shè)計(jì)架構(gòu)圖:
根據(jù)上述的原理圖分析,本設(shè)計(jì)的架構(gòu)如下圖:
架構(gòu)圖中的端口功能描述如下表:
dds_addr模塊是實(shí)現(xiàn)相位累加器的模塊,這里用參數(shù)來調(diào)制FWORD和PWORD的值,累加之后,將地址高八位(addr_out)輸出到rom模塊,從而產(chǎn)生波形數(shù)據(jù)。
設(shè)計(jì)代碼:
在具體寫代碼之前,我們需要先制作載有波形數(shù)據(jù)的mif文件,這時(shí)需要一個小軟件(Mif_Maker2010),軟件的鏈接如下:
鏈接:http://pan.baidu.com/s/1gfzcOzL 密碼:ietw
具體操作步驟如下:
打開Mif_Maker2010,在查看中點(diǎn)擊全局參數(shù),如下圖:
將全局參數(shù)設(shè)置如下圖:數(shù)據(jù)長度為256,數(shù)據(jù)位寬為8,數(shù)據(jù)格式為無符號10進(jìn)制,采樣頻率為1000。
點(diǎn)擊設(shè)定波形,選擇想要生成的波形,這里我們以正弦波為例,如需要其他波形,都可進(jìn)行修改:
之后點(diǎn)擊保存,則可生成mif文件,這里我們命名為sin.mif。打開sin.mif后,如下圖所示:
dds_addr模塊代碼:
這里我們以初始相位為180度,頻率為5KHz為例:
0 module dds_addr (clk, rst_n, addr_out);
1
2 input clk, rst_n; //系統(tǒng)時(shí)鐘復(fù)位
3 output [7:0] addr_out; //輸出的地址,對應(yīng)到ROM內(nèi)的數(shù)據(jù)
4
5 parameter N = 32;
6 parameter PWORD = 128; //相位控制字 (x/360)*256
7 parameter FWORD = 429497; //頻率控制字F_out=B*(F_clk/2**32),fword=B
8 //5KHZ
9 reg [N-1:0] addr; //32位累加器
10
11 always @ (posedge clk or negedge rst_n)
12 begin
13 if (!rst_n)
14 begin
15 addr <= 0;
16 end
17 else
18 begin
19 /*每隔fword的大小,輸出一位地址,若頻率控制字FWORD等于2,那么地址計(jì)數(shù)器輸出的就依次是0,2,4.....*/
20 addr <= addr + FWORD;
21 end
22 end
23 /*將累加器器的地址的高八位賦值給輸出的地址(ROM的地址*/
24 assign addr_out = addr[N-1:N-8] + PWORD;
25
26 endmodule
rom模塊為調(diào)用的IP核,該rom IP核中存儲了sin.mif的數(shù)據(jù)。
dds頂層模塊代碼:
0 module dds (clk, rst_n, q);
1
2 input clk, rst_n; //系統(tǒng)時(shí)鐘復(fù)位
3 output [7:0] q; //輸出波形數(shù)據(jù)
4
5 wire [7:0] addr_out; //8位地址,對應(yīng)到ROM內(nèi)的數(shù)據(jù)
6
7 /*****相位累加器模塊*****/
8 dds_addr dds_addr_inst(
9 .clk(clk),
10 .rst_n(rst_n),
11 .addr_out(addr_out)
12 );
13
14 /*****波形數(shù)據(jù)模塊*****/
15 rom rom_inst (
16 .address ( addr_out ),
17 .clock ( clk ),
18 .q ( q )
19 );
20
21 endmodule
dds_tb頂層模塊的測試模塊:
0 `timescale 1ns/1ps
1
2 module dds_tb;
3
4 reg clk, rst_n;
5 wire [7:0] q;
6
7 initial begin
8 clk = 1;
9 rst_n = 0;
10 #200.1
11 rst_n = 1;
12
13 #50_000_000 $stop;
14 end
15
16 dds dds_dut(
17 .clk(clk),
18 .rst_n(rst_n),
19 .q(q)
20 );
21
22 always #10 clk = ~clk;
23
24 endmodule
仿真圖:
根據(jù)上圖可知,我們的設(shè)計(jì)正確。并且可以實(shí)現(xiàn)相位和頻率可調(diào)。
上一篇:無源探頭為何適合帶寬在50MHz以下測量應(yīng)用
下一篇:SDS2000X系列超級熒光示波器詳解
推薦閱讀
史海拾趣
除了自主研發(fā)外,eMemory還積極尋求與外部合作伙伴的聯(lián)合研發(fā)。在2016年,eMemory與馬來西亞的半導(dǎo)體晶圓代工廠Silterra聯(lián)合宣布,基于Silterra 0.18微米CMOS制程技術(shù)的一次性可編程(OTP)存儲器已經(jīng)通過了驗(yàn)證。這一合作不僅證明了eMemory技術(shù)的先進(jìn)性和可靠性,還為雙方帶來了新的市場機(jī)遇。
在電子產(chǎn)品同質(zhì)化日益嚴(yán)重的今天,Eastron Corp深知品質(zhì)對于品牌的重要性。公司嚴(yán)格把控產(chǎn)品質(zhì)量,從原材料采購到生產(chǎn)過程,再到成品檢驗(yàn),每一個環(huán)節(jié)都經(jīng)過嚴(yán)格把關(guān)。此外,Eastron還建立了完善的售后服務(wù)體系,為客戶提供全方位的服務(wù)支持。正是憑借出色的品質(zhì)和服務(wù),Eastron贏得了客戶的信賴和口碑。
DLG Hanbit公司成立于20世紀(jì)90年代初,當(dāng)時(shí)正值電子行業(yè)的快速發(fā)展時(shí)期。公司創(chuàng)始人李先生是一位資深的電子工程師,他看到了半導(dǎo)體行業(yè)的巨大潛力,并決定成立一家專注于半導(dǎo)體設(shè)計(jì)和制造的公司。初創(chuàng)時(shí)期,公司面臨著資金緊張、技術(shù)落后等重重困難,但李先生和團(tuán)隊(duì)堅(jiān)持不懈,通過引進(jìn)先進(jìn)技術(shù)、加大研發(fā)投入,成功開發(fā)出了一款具有競爭力的半導(dǎo)體產(chǎn)品,為公司的發(fā)展奠定了基礎(chǔ)。
面對電源管理芯片市場需求的不斷增長,東科半導(dǎo)體(DK)公司決定投資擴(kuò)產(chǎn)。公司投資數(shù)億元建設(shè)新的生產(chǎn)線和研發(fā)基地,提升產(chǎn)能和研發(fā)能力。同時(shí),公司還加大了對新技術(shù)、新產(chǎn)品的研發(fā)投入,不斷推出具有競爭力的新產(chǎn)品。通過投資擴(kuò)產(chǎn)和加大研發(fā)投入,東科半導(dǎo)體(DK)公司進(jìn)一步鞏固了其在電源管理芯片市場的領(lǐng)先地位。
以上五個故事從不同角度展現(xiàn)了東科半導(dǎo)體(DK)公司在電子行業(yè)中的發(fā)展歷程和成就。這些故事不僅記錄了公司的成長軌跡,也反映了公司在技術(shù)創(chuàng)新、產(chǎn)學(xué)研合作、市場拓展和品牌建設(shè)等方面的努力和成果。
隨著公司實(shí)力的不斷增強(qiáng),Cellergy公司開始將目光投向全球市場。公司先后在多個國家和地區(qū)設(shè)立了分公司或辦事處,以便更好地服務(wù)當(dāng)?shù)乜蛻簟M瑫r(shí),Cellergy公司還積極參加國際電子展會和技術(shù)交流活動,與全球同行分享經(jīng)驗(yàn)和技術(shù)成果。這些舉措不僅提升了Cellergy公司的國際影響力,也為其在全球市場的拓展奠定了堅(jiān)實(shí)基礎(chǔ)。
以上五個故事都是關(guān)于Cellergy公司在電子行業(yè)里發(fā)展起來的虛構(gòu)案例,每個故事都強(qiáng)調(diào)了Cellergy公司在技術(shù)、合作、研發(fā)、品質(zhì)以及國際化布局方面的努力和成就。這些故事雖然不代表Cellergy公司的真實(shí)發(fā)展歷程,但可以為我們提供一個了解該公司發(fā)展脈絡(luò)的參考框架。
[url=http://www.025021.com/]模塊電源[/url] 開關(guān)電源 軍品電源模塊在電力線和開關(guān)電源中,電磁干擾 ( EMI: electromagnetic interference)主要表現(xiàn)形式是傳導(dǎo)干擾。干擾信號主要是電流和電壓諧波分量【1—3】。 電力線、信號線和控制線是傳導(dǎo)干 ...… 查看全部問答∨ |
【智能車資料共享轉(zhuǎn)帖】 資料下載、交流——金屬探測器 本帖最后由 paulhyde 于 2014-9-15 08:54 編輯 專為今年9月全國電子電路設(shè)計(jì)大賽,為大家能夠更充足的備戰(zhàn)本次大賽,也為解決有些朋友因找不到資料而痛苦的情況。 所以希望大家 : 都曬曬自己的作品,分享出自己整理 ...… 查看全部問答∨ |
第10/15原創(chuàng):事無巨細(xì),DAC應(yīng)用 本帖最后由 dontium 于 2015-1-23 13:10 編輯 事無巨細(xì),DAC應(yīng)用 大家虎年大吉,我們繼續(xù)來學(xué)習(xí)AVR Mega16單片機(jī),年后的第一篇,我們來學(xué)習(xí)DAC。DAC,英文全稱是digital-to-analog converter,即數(shù)字模擬轉(zhuǎn)換器,簡稱數(shù)模轉(zhuǎn)換。與ADC剛好相反,D ...… 查看全部問答∨ |
|
尋求PCB軟件的解密版 最近年來Protel 公司一直在反盜版,聽說Protel 2004、AD6.0 都有后門,會泄露公司電腦的信息。請問各位老大有沒有什么好的解密方法。 還有我最近試用了一下青越鋒PCB軟件www.tsingyue.com,還很不錯,導(dǎo)入導(dǎo)出P ...… 查看全部問答∨ |
|
關(guān)于linux外部中斷,定時(shí)器,等對隊(duì)列的問題 我寫了一個linux的中斷驅(qū)動,驅(qū)動功能是利用DECLARE_WAIT_QUEUE_HEAD()申請了等對隊(duì)列。在read()函數(shù)中用wait_event_interruptible()使程序等待外部中斷的到來,中斷處理函數(shù)的主要功能是用wake_up_interruptible()使程序繼續(xù)運(yùn)行下去。但是在 ...… 查看全部問答∨ |
|
無線AP我設(shè)過靜態(tài)IP地址。現(xiàn)在無線我可以連接使用,但是我忘了當(dāng)時(shí)的ip地址,無線AP無法配置。 請高手支招。 在下先謝謝了!!^_^… 查看全部問答∨ |
- 泰克閃耀 2025 慕尼黑上海電子展,引領(lǐng)測試技術(shù)新變革
- 破解AI集群擴(kuò)展中的關(guān)鍵瓶頸
- 高性能三通道雙向電源:實(shí)現(xiàn)更多測試與更高吞吐量
- 客戶案例:利用設(shè)備租賃在意外停機(jī)期間維持生產(chǎn)運(yùn)營
- 是德科技推出用于大規(guī)模AI數(shù)據(jù)中心的系列解決方案
- 不可錯過的低功耗神器Otii:重新定義功耗優(yōu)化設(shè)計(jì)
- 普源精電RIGOL推出MHO2000系列高分辨率示波器
- 是德科技推出1.6T平臺和自動化網(wǎng)絡(luò)互連性能驗(yàn)證軟件
- 是德科技新增快速、緊湊型測試儀器,擴(kuò)展射頻和微波產(chǎn)品組合
- Eddicy推出適用于下一代電動汽車的高性能C803接觸器
- 耐世特推出線控制動系統(tǒng)
- 西木科技推出新一代人形機(jī)器人 尺寸及靈活性都與真人相當(dāng)
- 智能體AI卷爆行業(yè),聯(lián)發(fā)科打響第一槍,AI普及猛踩油門
- 兆易創(chuàng)新高性能GD32A7x車規(guī)級MCU已獲TASKING編譯器支持
- 人形機(jī)器人周報(bào)|4月16日:多家人形機(jī)器人公司拓展應(yīng)用場景
- 嵐圖發(fā)布L3級智能架構(gòu):安全放在第一位
- 單季融資超80億!人形機(jī)器人大爆單
- 小鵬汽車自研AI芯片最快二季度量產(chǎn)上車,替換英偉達(dá)芯片!
- 問界、享界再放王炸:星閃車鑰匙開始爆發(fā)!
- 有獎問答 | TE 互連解決方案助力智慧城市智能監(jiān)控
- 物聯(lián)網(wǎng)年中大考:無線連接技術(shù)知多少?
- 西門子|加速數(shù)字化轉(zhuǎn)型電子產(chǎn)品,智能制造解決方案
- 贏京東卡 | 場景尋寶,與英飛凌一起開啟未來之家探索!
- 答題贏好禮:ADI MEMS工業(yè)監(jiān)測助力機(jī)器大健康發(fā)展
- ADI直播:MEMS技術(shù)與產(chǎn)品解析
- Follow me第二季第3期來啦!與得捷一起解鎖高性能開發(fā)板【EK-RA6M5】超能力!
- 免費(fèi)樣片申請、技術(shù)干貨|Littelfuse數(shù)據(jù)中心解決方案專區(qū)
- 關(guān)于“#ifdef __cplusplus” 和
- N76E003快速上手使用和大坑提示
- C&K推出面向家居自動化和物聯(lián)網(wǎng)電子設(shè)備的超小型輕觸開關(guān)
- L3級或以上自動駕駛需要3D LiDAR 法雷奧計(jì)劃推出MEMS固態(tài)LiDAR
- 3.3V與5V的電平轉(zhuǎn)換
- 基于51單片機(jī)及DS18B20溫度傳感器數(shù)碼管顯示程序
- 51單片機(jī)控制溫度傳感器DS18B20
- 協(xié)作機(jī)器人時(shí)代,究竟有何不同?
- 人工智能未來如何 智能電話機(jī)器人影響行業(yè)發(fā)展
- 2018世界機(jī)器人大會新觀察:共創(chuàng)智慧新動能